Back to top

Image: Shutterstock

Applied Materials (AMAT) Gains 21.4% YTD: Is It Worth Buying?

Read MoreHide Full Article

Applied Materials’ (AMAT - Free Report) shares have gained 21.4% in the year-to-date period, outperforming the industry, the Zacks Computer & Technology sector and the S&P 500 index’s returns of 18.1%, 18.2% and 14.7%, respectively.

Given the fact that artificial intelligence (AI) has become mainstream in several industries and sectors, the company’s strategic pivot toward the technology has been a major positive. As businesses of different sizes are rushing to boost their operational efficiency with the power of AI, AMAT has positioned itself as a key player in this transformative wave.

The growing proliferation of AI has made the semiconductor equipment industry highly competitive. Nevertheless, AMAT has outperformed its peers Lam Research (LRCX - Free Report) and KLA Corporation (KLAC - Free Report) on a year-to-date basis.

Applied Materials is also gaining from tectonics shifts in technology, including the Internet of Things (IoT), autonomous and electric vehicles, and clean energy, which have been shaping the growth trajectory of the semiconductor industry.

Year-to-Date Price Performance

 

Zacks Investment Research
Image Source: Zacks Investment Research

 

Technology Inflections Drive Prospects

Technology inflections are creating necessity for high-volume production of the advanced chips and Applied Materials stands strongly to benefit from it on the back of its wide range of manufacturing equipment used in fabricating semiconductor chips.

The company is positioned well to benefit from the increasing demand for sophisticated chips required to power AI-centric data centers, enabled by four key semiconductor technologies — leading-edge logic, compute memory or high-performance DRAM, stacking technology, and advanced packaging to connect the logic and memory chips and create a system in a package. AMAT boasts technology leadership in these four areas.

The company has strong capabilities in logic and solid position in DRAM patterning, and offers co-optimized hard mask solutions for capacitor scaling. These factors make AMAT a clear leader in process equipment for DRAM.

Applied Materials deliver leading-edge capabilities that enable chipmakers to establish accurate statistical process control, ramp up production runs rapidly and achieve consistently high production yields.

AMAT’s manufacturing equipment helps improve the performance, power, yield and costs of semiconductor devices that serve the IoT, communications, automotive, power and sensors markets.

The company’s patterning systems and technologies are designed to address the challenges resulting from shrinking pattern dimensions and the growing complexity in vertical stacking found in today’s most advanced semiconductor devices. 

AMAT’s transistor, and interconnect products and technologies enable continued power and performance improvements. Thanks to this, the company has leadership in materials engineering processes in advanced logic. The new transistor process flows and the shift from FinFET to Gate-All-Around help grow AMAT’s available market for the transistor module.

Applied Materials’ metrology, inspection and imaging capabilities, and algorithms are capable of meeting the most advanced technical demands, as they are powered by optical and e-beam technologies.

Its packaging technologies address challenges arising from the increasing heterogeneous integration of multiple integrated circuit dies in a single package.

Conclusion

The ongoing recovery in the semiconductor industry, owing to improving memory spending due to the growing proliferation of AI and machine learning, as well as Generative AI, bodes well for Applied Materials. 

The company’s business continues to be on a growth trajectory with significant design wins. It is well-poised to capitalize on the technology-inflections-led growing demand for next-generation chips on the back of its product innovations, and leadership in leading-edge logic, compute memory, high bandwidth memory and advanced packaging. This, in turn, is expected to benefit its near and long-term prospects.

The Zacks Consensus Estimate for fiscal 2024 revenues is pegged at $26.91 billion, indicating year-over-year growth of 1.5%. The same for fiscal 2024 earnings is pinned at $8.33 per share, suggesting year-over-year growth of 3.5%. The EPS estimate has been revised upward by 0.5% over the past 60 days.

The Zacks Consensus Estimate for fiscal 2025 revenues is pegged at $29.46 billion, indicating year-over-year growth of 9.5%. The same for fiscal 2025 earnings is pinned at $9.40 per share, suggesting year-over-year growth of 12.9%. The EPS estimate has been revised upward by 0.1% over the past 30 days.

 

Zacks Investment Research
Image Source: Zacks Investment Research

 

A strong liquidity position with a cash and short-term investment balance of $7.6 billion, and a cash flow of $1.4 billion as of Apr 28, 2024, is noteworthy. AMAT also returned $1.09 billion to its shareholders, of which share repurchases were worth $820 million and dividend payments amounted to $266 million.

It is also important to consider the stock's current valuation. AMAT is trading at a discount with a forward 12-month P/E of 21.54X compared with the industry’s 27.48X and lower than the median of 21.7X, reflecting a solid opportunity for the investors at present.

 

Zacks Investment Research
Image Source: Zacks Investment Research

 

Applied Materials carries a Zacks Rank #2 (Buy). You can see the complete list of today’s Zacks #1 Rank (Strong Buy) stocks here.


See More Zacks Research for These Tickers


Normally $25 each - click below to receive one report FREE:


KLA Corporation (KLAC) - free report >>

Lam Research Corporation (LRCX) - free report >>

Applied Materials, Inc. (AMAT) - free report >>

Published in